Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Менеджмент
Кафедра:
Не вказано

Інформація про роботу

Рік:
2010
Тип роботи:
Методичні вказівки до лабораторної роботи
Предмет:
Захист інформації в комп’ютерних системах

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ “ЛЬВІВСЬКА ПОЛІТЕХНІКА”  ПОВЕДІНКОВА ФОРМА ПРОЕКТА НА МОВІ VHDL. ЯВНО ЗАДАНИЙ ОПЕРАТОР PROCESS. УМОВНИЙ ОПЕРАТОР IF, ОПЕРАТОР ВИБОРУ CASE. МЕТОДИЧНІ ВКАЗІВКИ до лабораторної роботи № 4 з курсу «Комп’ютерні методи аналізу та проектування електронних засобів» для студентів спеціальностей: 7.160102 “Захист інформації з обмеженим доступом та автоматизація її обробки”, 7.160103 “Системи захисту від несанкціонованого доступу”, 7.160104 “Адміністративний менеджмент в сфері захисту інформації з обмеженим доступом”, 7.160105“Захист інформації і комп'ютерних системах і мережах”, 8.160102 “Захист інформації з обмеженим доступом та автоматизація її обробки”, 8.160103 “ Системи захисту від несанкціонованого доступу”, 8.160104 “Адміністративний менеджмент в сфері захисту інформації з обмеженим доступом”, 8.160105“Захист інформації і комп'ютерних системах і мережах”. Затверджено на засiданнi кафедри “Захист інформації” Протокол № ___ від __ ________ 2010 р. Львів 2010 Поведінкова форма проекту на мові VHDL. Явно заданий оператор Process. Умовний оператор if, оператор вибору case. Інструкція до лабораторної роботи № 4 з курсу “ Комп’ютерні методи аналізу та проектування електронних засобів.” для студентів спеціальностей 7.160102 “Захист інформації з обмеженим доступом та автоматизація її обробки”, 7.160103 “Системи захисту від несанкціонованого доступу”, 7.160104 “Адміністративний менеджмент в сфері захисту інформації з обмеженим доступом”, 7.160105“Захист інформації і комп'ютерних системах і мережах”, 8.160102 “Захист інформації з обмеженим доступом та автоматизація її обробки”, 8.160103 “ Системи захисту від несанкціонованого доступу”, 8.160104 “Адміністративний менеджмент в сфері захисту інформації з обмеженим доступом”, 8.160105“Захист інформації і комп'ютерних системах і мережах” / Укл.: Горпенюк А.Я., Будз Б.Д. – Львів: НУЛП, 2010.- 9 с. Укладачі: Горпенюк А.Я., к.т.н, доцент, Будз Б.Д., асистент Мета роботи: - Ознайомитись з синтаксисом явно заданого оператора process. Реалізація тригерів за допомогою оператора умовної передачі керування if. 1. ТЕОРЕТИЧНІ ВІДОМОСТІ 1.1. Явно заданий оператор PROCESS. Явно заданий оператор process – це основна конструкція для поведінкової форми опису проектів, яка дозволяє використовувати в його тілі послідовні оператори мови для опису поведінки цифрового пристрою що проектується регістрового типу на деякому часовому інтервалі. В мові VHDL розрізняють два підкласи явно заданого оператора process: явно заданий оператор process() зі списком чутливості; явно заданий оператор process без списку чутливості. Синтаксис явно заданого оператора process() має наступний вигляд: [мітка_процесу:] process [(список_чутливості)] [is] [оператори_оголошень_процесу] –-Розділ оголошень. begin -- Розділ виконуваних операторів. --В цьому розділі розміщуються оператори наступних типів: -- присвоєння значень змінним; -- виклику процедур; -- оператори case; -- оператори exit; -- оператори if; -- оператори loop; -- оператори next; -- оператори null; -- оператори wait; end process [мітка_тому]; Розглянемо приклад використання оператора process в проекті D-тригера з асинхронним скидом, який перемикається за переднім фронтом синхроімпульсу CLK. library ieee; use ieee.std_logic_1164.all; entity DT_clear is port(CLK, CLEAR, D: in std_logic; Q: out std_logic); end DT_clear; architecture BEHAV of DT_clear is begin process(CLK, CLEAR) begin if(CLEAR = ‘1’) then Q <= ‘0’; elsif(CLK’event and CLK = ‘1’) then Q <= D; end if; end process; end BEHAV; Сам явно заданий оператор process розташований в розділі виконуваних операторів паралельної обробки, оскільки відноситься до операторів відповідного класу. Однак оператори, які розміщені всередині оператора process, виконуються послідовно. Як і інші оператори паралельної обробки, оператор process може зчитувати значення сигналів на вихідних портах інтерфейсу, а також взаємодіяти з іншими об'єктами архітект...
Антиботан аватар за замовчуванням

09.10.2012 19:10

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини